6

Aligned Carbon Nanotubes for Interconnect Application

Yang Chai, Minghui Sun, Zhiyong Xiao, Yuan Li, Min Zhang and Philip C.H. Chan

CONTENTS

6.1    Introduction

6.2    Controlled Growth of Aligned Cnts

6.2.1    Vertically Aligned CNTs

6.2.2    Horizontally Aligned CNTs

6.3    Integration Schemes with IC Technology

6.3.1    Integration Schemes

6.3.2    Density Enhancement

6.3.3    Interfacial Contact

6.4    Electrical Characterization

6.4.1    DC Characterization

6.4.2    RF Characterization

6.5    Electromigration Characterization

6.5.1    Electrical Breakdown of CNT

6.5.2    Electromigration of Carbon-Based Interconnect

6.6    Conclusions

References

6.1    INTRODUCTION

The complex interconnects link the electronic components in the very large-scale integration (VLSI) and provide signal and power to them. Nowadays, the speed of the VLSI is more and more dominated by the signal transmission in the interconnects instead of the transistor switching, because most of the on-chip capacitances of the VLSI are associated with the interconnects [1]. State-of-the-art copper interconnect technology is expected to run into its physical limit as the feature size of the integrated circuit (IC) technology continues to scale down to nanometer size [2]. The increasing copper resistivity due to surface and grain boundary scattering results in the increasing resistance-capacitance delay, and it has become a near-term challenge for the copper interconnects according to the International Technology Roadmap for Semiconductors (ITRS). The current density through the copper interconnects also increases as the feature size scales down, which aggravates the electromigration (EM) reliability of the copper interconnect.

To enable the continuing scaling of interconnects, researchers must develop a more conductive and robust interconnect conductor [2]. A variety of methods have been investigated by scientists and engineers, including the replacement of copper with new materials (metal silicides, carbon nanotube, and graphene nano-ribbon), optimization of metal interconnect, e.g., metallic phonon engineering of silver [3] and metallic geometrical resonance [4], and other interconnect schemes, e.g., optical interconnect [5] and wireless interconnect [6].

Among these approaches, carbon nanotube (CNT) has emerged as a promising candidate for next-generation interconnect conductors because of its ballistic transport characteristics, high thermal conductivity, high current carrying capacity, and high aspect ratio. Theoretical works have predicted that the CNT interconnect can outperform the copper interconnect at most levels of interconnection hierarchy [1,7,8,9]. The CNT interconnect can be made of either densely packed single-walled CNTs (SWNTs) or larger-diameter multiwalled CNTs (MWNTs) [7,8,10]. In this chapter, we shall present the recent experimental advancements in the field of CNT interconnects, mainly focusing on the works conducted in our group at the Hong Kong University of Science and Technology.

6.2    CONTROLLED GROWTH OF ALIGNED CNTS

The electrical and thermal conduction in the CNT is highly anisotropic due to its quasi-one-dimensional (1D) feature. Straight and aligned CNTs are preferred for the interconnect application, as this configuration provides the shortest carrier conduction path compared to the random entangled CNTs. Since each conductive channel in CNT has a quantum limit (12.9 kΩ), we desire the high-density aligned CNTs in parallel to minimize the overall interconnect resistance. In the VLSI interconnect hierarchy, different locations in the same level are connected by the isolated interconnect lines, and different levels of the interconnections are connected by the contacts/vias. To use CNT for VLSI interconnections, this requires precise control on the direction of the aligned CNTs. The chemical vapor deposition (CVD) method has been demonstrated to be deterministic for controlled growing carbon nanostructures [11].

6.2.1    VERTICALLY ALIGNED CNTS

The contact and via are usually the smallest and most abundant features in VLSI [12]. It is challenging to seamlessly fill the high aspect ratio via with Cu, W, or Ru. The voiding in the contact/via will lead to significant reliability problems. The 1D feature of the CNT enables us to fill the high aspect ratio contact/via hole from the bottom with the CNTs. The CNT itself also does not suffer from the EM-like failure because of the strongest carbon-carbon chemical bonding.

The thermal randomization during the typical CNT growth process results in the entangled CNTs without any alignment. To align the CNT in the preferred direction, it is desirable to provide an external force to overcome the thermal randomization Aligned Carbon Nanotubes for Interconnect Application during the CNT growth process. A usual method is the so-called crowding effect. The CNTs are grown from high-density catalyst particles. The growth direction of CNTs is restricted by the neighboring CNTs, only allowing them to grow in the vertical direction [13,14,15]. In our work, we used ferrocene as an in situ high-density catalyst to grow the vertically aligned CNT by the thermal CVD method [13,14,15]. In the second example, the electric field has been shown to guide the growth direction of CNT [16]. In the plasma-enhanced chemical vapor deposition (PECVD) chamber, a vertical built-in electric field can be generated on the blanket substrate due to the electron accumulation on the substrate surface, which interacts with the induced dipole in the CNT, and align it in the vertical direction [17]. Figure 6.1 shows the representative scanning electron microscopy (SEM) images of the vertically aligned CNTs in our experiments, grown with the two methods we mentioned above. We clearly observe that the CNT grown by PECVD is much straighter than those grown by thermal CVD.

Image

FIGURE 6.1 SEM images of the vertically aligned CNT. (a) Vertically aligned CNTs grown by PECVD method. (b) Vertically aligned CNTs grown by thermal CVD from the decomposition of ferrocene. The CNTs are entangled due to the thermal randomization.

Figure 6.2(a) schematically illustrates the mechanism of the alignment control of the CNT by electric field. An electric dipole is induced by the external electric field inside the CNT. This dipole is along the axis of the 1D CNT. The interaction between the dipole and the external electric field steers the direction of the CNT at every stage of the growth process, resulting in the perfect alignment of the CNT in the direction of the electric field. Figure 6.2(b) and (c) shows the vertically aligned CNT film and a single vertical and freestanding CNT grown by PECVD. This suggests the electric field is an effective tool for growing the aligned CNTs. However, the defects introduced in the plasma growth environment still remain an open question.

6.2.2    HORIZONTALLY ALIGNED CNTS

The controlled growth of the horizontally aligned and high-density CNTs on Si substrate is still challenging. The aligned SWNTs grown on quartz or sapphire substrate have low density (<20/μm) and require the complex transfer process [18]. Our group has developed two methods to fabricate the high-density horizontally aligned CNTs directly on Si substrate. By designing and fabricating the microstructures, we modified the built-in electric field near the sidewall of the microstructures in the horizontal direction [19]. The interaction between the electric field and the induced dipole results in the growth of horizontally aligned CNTs [19]. An alternative approach is to grow the vertically aligned CNTs from the catalyst stripes first, then immerse the sample into isopropyl alcohol to orientate them to the desired direction. The capillary force between the CNT and the liquid guides the CNT to align horizontally on the substrate surface [20]. Figure 6.3 shows the horizontally aligned CNTs by the two methods.

Image

FIGURE 6.2 Alignment control of the CNT by electric field. (a) Schematic of the interaction between the induced dipole in CNT and the external electric field. SEM images of (b) the vertically aligned CNT film and (c) a single vertical and freestanding CNT.

Image

FIGURE 6.3 SEM images of the horizontally aligned CNTs. (a) Horizontally aligned CNTs directly grown by electron-shading effect. (b) Horizontally aligned CNTs leveled down from vertically aligned CNTs by liquid treatment.

Image

FIGURE 6.4 Schematic of the process flow (a) bottom-up approach without the via hole opening process, and (b) buried catalyst approach, which requires via hole opening, similar to the conventional Cu via process.

6.3    INTEGRATION SCHEMES WITH IC TECHNOLOGY

Integrating the CNTs in ICs as interconnects requires researchers to develop a cost-effective integration scheme without scarifying the overall performance and reliability of the interconnect. The CNT interconnects need to interface with other materials, including metal, dielectrics, barrier layer, and catalyst. This makes the integration of the CNTs challenging. We shall describe some of the attempts to integrate the CNT into the VLSI system.

6.3.1    INTEGRATION SCHEMES

Two approaches have been developed for the integration of the vertical CNT via: bottom-up approach and buried catalyst. They are schematically illustrated in Figure 6.4 [21]. In one example, the CNT is first grown on the substrate. The interlayer dielectrics are then deposited. The excess dielectric is removed by chemical-mechanical polish process to expose the CNT tip. The top metal is then in contact with the CNT tip, as shown in Figure 6.4(a). This bottom-up approach avoids the via hole opening process, and offers the ultra-scaling potential to a few nanometers (around the diameter of CNT). However, this method is only applicable to the large-diameter MWNT or carbon nanofiber with strong mechanical strength, which allows it to withstand the harsh dielectric layer deposition process [22].

Image

FIGURE 6.5 SEM images of the CNT via with different feature size. (a) 1 µm and (b) 300 nm diameter.

In the second example, the so-called buried catalyst approach, the via hole is etched first and the CNTs are grown from the bottom catalyst. The order of the process flow is similar to the copper interconnect process. In the via hole opening process, the harsh etching environment may affect the catalyst surface and cause the catalyst particles not to function properly.

In our work, we used a process flow similar to that in the buried catalyst approach. To avoid the adverse influence of the etching process on the catalyst surface, we optimized the process flow by depositing catalyst after the via hole etching. The photoresist pattern for the via hole etching also served as the mask of the catalyst liftoff, as shown in Figure 6.4(b). Unlike the Cu via process, the CNT via does not require a high-resistance and thick liner to interface between the conductor and the dielectric. Figure 6.5 shows the SEM images of the vertical CNT via with different feature sizes. The integration of CNT into the nanoscale via hole using this method still has poor density CNTs, lower than that from the blanket catalyst film.

The integration of the horizontally aligned CNTs as the interconnect line has been demonstrated by several methods, including the direct growth [23], transfer [18], and dielectrophoresis [24]. The key challenges are the fabrication of the densely packed CNTs and the formation of good electrical contact to the metal in the meantime.

6.3.2    DENSITY ENHANCEMENT

Theoretical calculations suggest that the density of the multiple CNT conduction channels has to be larger than 1013/cm2 to outperform the copper interconnect [25]. In the CVD fabrication method, the CNT is grown from the catalyst particle. In order to reach the projected density of the CNTs for the interconnect application, we need to prepare monodispersed catalyst particles on the substrate. Currently, the catalyst for the CNT growth by CVD method is mostly prepared by physical vapor deposition. The effect of catalyst thickness is a key factor to determine the density and diameter of the CNTs. The density of particles as a function of the catalyst thickness can be described according to: ρs= n/S = 6t/(πd3) ∝ t/d3, where n is the number of the catalyst particles, d is the average diameter of the CNT, and t is the thickness of catalyst film [23]. The ultra-thin catalyst film helps to form the dense catalyst nanoparticles. Figure 6.6 shows the CNTs grown from different catalyst thicknesses. The thinner catalyst produces the smaller-diameter and higher-density CNTs.

Image

FIGURE 6.6 SEM images of the CNTs from different catalyst thicknesses. (a) 5 nm and (b) 10 nm thick Fe thin film.

The catalyst “poisoning” is a key factor for reducing the density of CNTs, where part of the catalyst particles is deactivated during the CNT growth process. This catalyst poisoning has been proved to be caused by the coating of amorphous carbon on the surface of catalyst particles [26]. To achieve the high-density catalyst particles with activation, the catalyst particles should be matched with an appropriate feeding rate of carbon source. Oxygen and plasma have been used to remove the amorphous carbon coating on the catalyst, and keep the activity of the catalyst during the CNT growth process [27].

6.3.3    INTERFACIAL CONTACT

The small contact area to the CNT makes the electrical coupling between the CNT and the surrounding conductor extremely difficult [28]. To use the CNT for VLSI interconnection, it is necessary to establish a low-resistance electrical contact to the CNT. Palladium (Pd), a noble metal with good wetting interactions with the CNT, was found to have good electrical contact to the CNT [29]. Recent works show that the metal wettability to the CNT is a key factor for the low-resistance electrical contact [30]. Our results showed the contact resistance to the CNT is diameter dependent [23], which indicates that the contact resistance of the metal/CNT interface depends on the contact area at the interface, which is closely related to the wettability of the metal to the CNT.

The metal/CNT contact can be classified into the end-contact (metal/CNT tip) and side-contact (metal/CNT sidewall) configurations. Depending on whether the pentagon/heptagon cap exists on the end of the CNT, the CNT tip can be classified into the open-end and the close-end. For the open-end multiwalled CNT without the cap, it provides contacts to not only the outer shell but also the inner walls of the CNT, contributing more electrical conduction channels [31]. We have developed an abrupt termination process of the CNT growth using the PECVD method to control the end structure of the CNT. The plasma was abruptly switched off to end the CNT growth process, and a large hydrogen stream was introduced to dilute the carbon reaction [32]. Figure 6.7(a) shows a transmission electron microscopy (TEM) image of the open-end CNT by the abrupt termination process. To realize this open-end CNT tip configuration for better electrical contact, some research groups have demonstrated chemical-mechanical polish to remove the cap of the close end of the CNT [25,33].

Image

FIGURE 6.7 TEM images of (a) the open end of the CNT by the abrupt termination growth and (b) the root of the CNT on Ti silicide.

The electrical contact between the CNT and the metal is very difficult. To enlarge the contact area to the CNT and form good electrical contact between the CNT and other conductors, we have used an interfacial layer between the CNT and the conductor. The contact between CNT and doped Si typically shows Schottky behavior [34]. We used Ti silicide as the interfacial layer, which has metal-like resistivity, and its Fermi level is close to that of Si. Figure 6.7(b) shows a TEM image of the interface between the CNT and the doped Si. We can clearly see that the Fe catalyst particle is encapsulated by the CNT shells, and penetrates into the Ti silicide. This enables formation of good electrical contact between the root of the CNT and the metal elecrode. We have also used a graphitic interfacial layer between the metal and the CNT, showing a substantial contact improvement [28]. The graphic inter-facial layer improves the wettability of the metal to the CNT, and probably forms chemical bonding with the CNT. Although this method is demonstrated with the horizontal and side-contact configuration, it can be also extended to the vertical CNT via.

6.4    ELECTRICAL CHARACTERIZATION

Owing to the high electrical conductivity, CNT has been proposed for carrying the signal and power at the DC and RF region. The DC resistances of the CNT interconnect consist of three parts: the quantum resistance, the diffusive resistance, and the imperfect contact resistance between CNT and metal [23]. For the impedance of the CNT in the high-frequency region, the researchers need to investigate the parasitic effect.

6.4.1    DC CHARACTERIZATION

Table 6.1 lists the resistance comparisons of the CNT via fabricated by different methods. The low-resistance CNT via requires both high density and good electrical contact. One single CNT with 100 nm diameter and 25 μm length has been reported with the resistance of 34.4 Ω [31]. Dijon and coworkers reported the density of 8 × 1012/cm2 in December 2010, the world record for the highest density of vertical aligned CNTs until now [25]. In their works, the resistance for a 1 μm diameter CNT via is 10 kΩ [25]. Awano et al. developed a process flow similar to the damascene copper interconnects, and realized open-end CNTs by chemical-mechanical polish process [33]. A 2 μm via filled with a vertical CNT array has been shown with the resistance of 0.6 Ω [33]. Our experimental results have shown 20–108 Ω for a via (Φ = 1.2 μm) filled with the vertically aligned CNTs, which is still higher than the theoretical value [35]. This is believed to result from the high metal/CNT contact resistance, the low site density of CNTs, and the low ratio of metallic CNT in the aligned CNTs [36].

From the comparisons, we can see that the resistance of the CNT via at micrometer scale is still much larger than the resistance of copper via because of the low density of the CNTs and the high contact resistance. To overcome these engineering hurdles, we have demonstrated the concept of the copper/CNT composite, in which the gaps between the CNTs are filled by electroplated copper [36]. Table 6.2 shows the comparisons of the related works. Researchers have demonstrated lower resistivity of the composite than of copper only, or comparable to copper [37,38,39]. This CNT-based composite could be used for the multilevel interconnects.

TABLE 6.1
Resistance Comparisons of the CNT Vias Fabricated by Different Methods

Via Diameter

Density

Growth Method

Resistance

Dijon

    1 μm

1012/cm2

Base growth

        10 kΩ

Awano

    2 μm

1011/cm2

PECVD

        0.6 Ω

Li

100 nm

Single

Arc discharge

      34.4 Ω

Ours

 1.2 μm

109/cm2

PECVD

20–108 Ω

TABLE 6.2
Resistivity Comparisons of the Copper/CNT Composite Fabricated by Different Methods

Process

ρcu (μΩ·cm)

ρcu/CNT(μΩ·cm)

ρcu/CNTcu

Liu

Electrophoretic

2.345

2.048

67.32%

Yang

Ultrasonic ECP

1.67

1.65

    98%

Baik

Molecule mixing

N/A

N/A

    90%

Ours

ECP

1.89

2.22

   117%

Note: ECP = electrochemical plating.

6.4.2    RF CHARACTERIZATION

Researchers have also investigated the signal transmission in the CNT interconnect at the radio frequency (RF) region. The experimental results have demonstrated that CNT can carry high-frequency currents at least as well as DC currents operating up to 20 GHz [40]. We carried out the RF characterizations on both low-density single-walled CNTs and high-density aligned multiwalled CNTs. The high density of the CNTs enables us to study the high-frequency transport of the CNT at 40 GHz, as shown in Figure 6.8(a) [41]. The metal/CNT shows capacitive contact characteristics. The transport property of the CNT exhibits induction over 10 GHz because of the presence of the kinetic inductance (Figure 6.8b). We have constructed a lumped resistance-inductance-capacitance (RLC) model to de-embed the inductance, capacitance, and resistance (Figure 6.8c). The obtained kinetic inductance is close to the theoretical value [40,41]. We also investigated the kinetic inductance of the CNT with different channel lengths, experimentally, validating the existence of kinetic inductance of CNT in the diffusive transport region [41].

6.5    ELECTROMIGRATION CHARACTERIZATION

The state-of-the-art copper interconnect suffers from electromigration (EM) as a result of the high current density (>106 A/cm2). This will cause structural damage by metal ion transport, resulting in a short circuit or open circuit in the integrated circuit system. As the feature size of the IC technology scales down to nanometer size, the current density through the interconnect increases dramatically. From the long-term perspective, the reliability of the copper interconnect caused by the EM becomes inevitable at nanoscale. The strongest carbon-carbon covalent bonding in the CNT enables it to carry very high current density.

6.5.1    ELECTRICAL BREAKDOWN OF CNT

The high current carrying capacity (>109 A/cm2) and the electrical breakdown have both been demonstrated in the CNT devices. To understand these two contradictory phenomena, we need to investigate the effects of the testing conditions on the reliability of the CNT interconnects. The electrical breakdown of the CNT has been reported in air by the simple DC sweep. In this electrical breakdown process, heat was generated by the high current density through the CNT, and raised to a certain temperature. The defective sites in the CNT react with the oxygen in air. The sp2 chain of the CNT is unzipped with the chemical reaction of -O-C-O- at the elevated temperature [42,43].

However, for the high-quality CNTs with few defects, or the CNT device testing in an oxygen-free environment, or isolated from air by passivation [35], the CNT shows high current carrying capacity without any EM-like degradation. Figure 6.9 shows the resistance evolution of the CNT via as a function of the constant current stressing time. We can clearly observe that the CNT via passivated with oxide carries a high current density without any failure over 100 h. The electrical breakdown is not observed in this oxygen-free condition even at high temperature.

Image

FIGURE 6.8 High-frequency characterization of the horizontally aligned CNT array. (a) Measured S11 and S21 magnitudes of a device structure with 20 µm long CNT array and the corresponding open pad structure. (b) Measured CNT impedance and the fitting results of RLC model. (c) Lumped RLC model for aligned CNTs.

Image

FIGURE 6.9 Resistance evolution of Cu, CNT, and Cu/CNT composite via stressing at the same current density and temperature.

6.5.2    ELECTROMIGRATION OF CARBON-BASED INTERCONNECT

As we have discussed in Section 6.4, the copper/CNT composite exhibits comparable or even smaller resistivity than copper. This composite is promising for the interconnect application. The CNT has been widely used in composite to enhance the mechanical strength. The EM of metal is caused by the microscopic “electron wind” force, which is a result of the high-density electron bombardment, and exchange of the momentum with metal atoms. Similar to enhancing the mechanical strength of the metal by the CNT reinforcement, the EM resistance of copper interconnects can be also improved by the CNT loading [44,45]. We have used two test structures to study the EM properties of copper/CNT composite: Kelvin structure for via EM and Blech structure for line EM [44]. Figure 6.9 shows the resistance evolution of copper and copper/CNT composite via as a function of constant current density stressing. The copper/CNT composite via shows much better EM resistance than copper via only.

We also used the Blech structure to study the EM property, which allows us to directly observe the atomic migration of the metal interconnect. Figure 6.10 shows a typical EM of metal migration near the cathode side. Obviously, the copper/CNT composite line shows a much slower void growth rate. The average critical current density length threshold products of pure copper and copper/CNT composite were estimated to be 1800 and 5400 A/cm, respectively [45].

Image

FIGURE 6.10 Electromigration testing using Blech structure. (a–c) Void growth in the cathode side of the Cu stripe. (d–f) Void growth in the cathode side of the Cu/CNT composite stripe.

6.6    CONCLUSIONS

We have shown our experimental results on the controlled CNT growth, the integration of the CNT via with IC technology, and the electrical and electromigration characterizations. These results suggested that the carbon-based interconnect is a promising candidate for future VLSI interconnect, and may provide a long-term solution for interconnects as the feature size scales down to nanometer size.

To realize the practical application of the CNT interconnect, we still need to overcome many engineering hurdles, including the enhancement of CNT density to the densely packed aligned CNTs, the optimization of the CNT interface to reduce the imperfect contact resistance, the growth of high-quality CNTs with less defects, and the development of cost-effective integration schemes [46].

REFERENCES

1.  A. Naeemi and J.D. Meindl. Carbon nanotube interconnects. Annu. Rev. Mater. Res., 39, 255–275, 2009.

2.  Y. Chai, M.H. Sun, Z.Y. Xiao, Y. Li, M. Zhang, and P.C.H. Chan. Pursuit of future interconnect technology with aligned carbon nanotube array. IEEE Nanotechnol. Mag., 5(1), 22–26, 2011.

3.  M. Kralj, A. Siber, P. Pervan, M. Milun, T. Valla, P.D. Johnson, and D.P. Woodruff. Moving surface-vacuum barrier effects temperature dependence of photoemission from quantum-well states in Ag/V(100): Moving surface-vacuum barrier effects. Phys. Rev. B, 64, 085411–085419, 2001.

4.  N. Trivedi and N.W. Ashcroft. Quantum size effects in transport properties of metallic films. Phys. Rev. B, 38, 12298–12309, 1988.

5.  K. Cadien, M. Reshotko, B. Block, A. Bowen, D. Kencke, and P. Davids. Challenges for on-chip optical interconnects. Proc. SPIE, 5730, 133–143, 2005.

6.   M.F. Chang, V.P. Roychowdhury, L. Zhang, H. Shin, and Y.X. Qian. RF/wireless interconnect for inter- and intra-chip communications. Proc. IEEE, 89, 456–466.

7.  A. Naeemi, R. Sarvari, and J.D. Meindl. Performance comparison between carbon nano-tube and copper interconnects for gigascale integration (GSI). IEEE Electron Device Lett., 26, 84–86, 2005.

8.  A. Naeemi and J.D. Meindl. Performance modeling for single- and multiwall carbon nanotubes as signal and power interconnects in gigascale systems. IEEE Trans. Electron Device, 55, 2574–2582, 2008.

9.  A. Naeemi and J.D. Meindl. Design and performance modeling for single-walled carbon nanotubes as local, semilocal, and global interconnects in gigascale integrated systems. IEEE Trans. Electron Device, 54, 26–37, 2007.

10.  A. Naeemi and J.D. Meindl. Compact physical model for multi-walled carbon nano-tubes. IEEE Electron Device Lett., 27, 338–340, 2006.

11.  A.V. Melechko, V.I. Merkulov, T.E. McKnight, M.A. Guillorn, K.L. Klein, D.H. Lowndes, and M.L. Simpson. Vertically aligned carbon nanofibers and related structures: Controlled synthesis and directed assembly. J. Appl. Phys., 97, 2005.

12.  P.C.H. Chan, Y. Chai, M. Zhang, and Y.Y. Fu. The application of carbon nanotubes in CMOS integrated circuits. In IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), 2008, pp. 534–536.

13.  Y. Chai, X.L. Zhou, P.J. Li, W.J. Zhang, Q.F. Zhang, and J.L. Wu. Nanodiode based on a multiwall CNx/carbon nanotube intramolecular junction. Nanotechnology, 16, 2134–2137, 2005.

14.  Y. Chai, Q.F. Zhang, and J.L. Wu. A simple way to CNx/carbon nanotube intramolecular junctions and branches. Carbon, 44, 687–691, 2006.

15.  Y. Chai, L.G. Yu, M.S. Wang, Q.F. Zhang, and J.L. Wu. Low-field emission from iron oxide-filled carbon nanotube arrays. Chin. Phys. Lett., 22, 911–914, 2005.

16.  Y.G. Zhang, A.L. Chang, J. Cao, Q. Wang, W. Kim, Y.M. Li, N. Morris, E. Yenilmez, J. Kong, and H.J. Dai. Electric-field-directed growth of aligned single-walled carbon nanotubes. Appl. Phys. Lett., 79, 3155–3157, 2001.

17.  C. Bower, W. Zhu, S. Jin, and O. Zhou. Plasma-induced alignment of carbon nanotubes. Appl. Phys. Lett., 77, 830–832, 2000.

18.  N. Patil, A. Lin, E.R. Myers, K. Ryu, A. Badmave, C.W. Zhou, H.-S.P. Wong, and S. Mitra. Wafer-scale growth and transfer of aligned single-walled carbon nanotubes. IEEE Trans. Nanotechnol., 8(4), 498–504, 2009.

19.  Y. Chai, Z.Y. Xiao, and P.C.H. Chan. Electron-shading effect on the horizontal growth of carbon nanotubes using plasma-enhanced chemical vapor deposition. Appl. Phys. Lett., 94(4), 043116-1–043116-3, 2009.

20.  Z.Y. Xiao, Y. Chai, Y. Li, M.H. Sun, and P.C.H. Chan. Integration of horizontal carbon nanotube device on silicon substrate using liquid evaporation. In Proceedings of 60th IEEE Electronic and Component Technology Conference, 2010, pp. 943–947.

21.  F. Kreupl, A.P. Graham, G.S. Duesberg, W. Steinhogl, M. Liebau, E. Unger, and W. Honlein. Carbon nanotubes in interconnect applications. Microelectronic Eng., 64, 399–408, 2002.

22.  J. Li, Q. Ye, A. Cassell, H.T. Ng, R. Stevens, J. Han, and M. Meyyappan. Bottom-up approach for carbon nanotube interconnects. Appl. Phys. Lett., 82, 2491–2493, 2003.

23.  Y. Chai, Z.Y. Xiao, and P.C.H. Chan. Horizontally aligned carbon nanotubes for interconnect applications: Diameter-dependent contact resistance and mean free path. Nanotechnology, 21, 235705-1–235705-5, 2010.

24.  G.F. Close, S. Yasuda, B. Paul, S. Fujita, and H.-S.P. Wong. A 1 GHz integrated circuit with carbon nanotube interconnect and silicon transistor. Nano Lett., 8(2), 706–709, 2007.

25.   J. Dijon, H. Okuno, M. Fayolle, T. Vo, J. Pontcharra, D. Acquaviva, D. Bouvet, A.M. Ionescu, C.S. Esconjauregui, B. Capraro, E. Quesnel, J. Robertson. Ultra-high density carbon nanotubes on Al-Cu for advanced vias. Int. Electron Device Meeting Tech. Dig., 33.4.1–33.4.4, 2010.

26.  T. Yamada, A. Maigne, M. Yudasaka, K. Mizuno, D.N. Futaba, M. Yumura, S. Iijima, K. Hata. Revealing the secret of water-assisted carbon nanotube syntheis by microcopic observation on the interaction of water on the catalyst. Nano Lett., 8, 4288–4292, 2008.

27.  G. Zhong, T. Iwasaki, J. Roberston, and H. Kawarada. Growth kinetics of 0.5 cm vertically aligned single-walled carbon nanotubes. J. Phys. Chem. B, 111, 1907–1910, 2007.

28.  Y. Chai, A. Hazeghi, K. Takei, H.Y. Chen, P.C.H. Chan, A. Javey, and H.-S. Philip Wong. Graphitic interfacial layer to carbon nanotube for low electrical contact resistance. Int. Electron Device Meeting Tech. Dig., 210–213, 2010.

29.  A. Javey, J. Guo, Q. Wang, M. Lundstrom, and H.J. Dai. Ballistic carbon nanotube field-effect transistors. Nature, 424(7), 654–657, 2003.

30.  S.C. Lim, J.H. Jang, D.J. Bae, G.H. Han, S. Lee, I.-S. Yeo, and Y.H. Lee. Contact resistance between metal and carbon nanotube interconnects: Effect of work function and wettability. Appl. Phys. Lett., 95, 264103-1–264103-3, 2009.

31.  H.J. Li, W.G. Lu, J.J. Li, X.D. Bai, and C.Z. Gu. Multichannel ballistic transport in multiwall carbon nanotubes. Phys. Rev. Lett., 95(8), 086601, 2005.

32.  Y. Chai, Z.Y. Xiao, and P.C.H. Chan. Low-resistance of carbon nanotube contact plug to silicon. IEEE Electron Device Lett., 30(8), 811–813, 2009.

33.  D. Yokoyama, T. Iwasaki, T. Yoshida, H. Kawarada, S. Sato, T. Hyakushima, M. Neihi, and Y. Awano. Low temperature grown carbon nanotube interconnect using inner shells by chemical mechanical polishing. Appl. Phys. Lett., 91(26), 263101-1–263101-3, 2007.

34.  X.J. Yang, M.A. Guillorn, D. Austin, A.V. Melechko, H.T. Cui, H.M. Meyer, V.I. Merkulov, J.B.O. Caughman, D.H. Lowndes, and M.L. Simpson. Fabrication and characterization of carbon nanofiber-based vertically integrated Schottky barrier junction diodes. Nano Lett., 3(12), 1751–1755, 2003.

35.  Y. Chai and P.C.H. Chan. High electromigration-resistant copper/CNT composite for interconnect applications. Int. Electron Device Meeting Tech. Dig., 607–610, 2008.

36.  Y. Chai, K. Zhang, M. Zhang, P.C.H. Chan, and M.M.F. Yuen. Carbon nanotube/copper composites for via filling and thermal management. In Proceedings of 57th IEEE Electronic and Component Technology Conference, 2007, pp. 1224–1229.

37.  P. Liu, J.H. Wu, D. Xu, Y.Z. Pan, C. You and Y.F. Zhang. CNTs/Cu composite thin films fabricated by electrophoresis and electroplating techniques. In Proceedings of 2nd Nanoelectronic Conference, Shanghai, China, 2008, pp. 975–978.

38.  Y.L. Yang, Y.D. Wang, Y. Ren, C.S. He, J.N. Deng, J. Nan, J.G. Chen, and L. Zuo. Single-walled carbon nanotube-reinforced copper composite coatings prepared by electrodeposition under ultrasonic field. Mater. Lett., 62, 47–50, 2008.

39.  S. Baik, B. Lim, R.S. Ryu, D. Choi, B. Kim, S. Oh, B.H. Sung, J.H. Choi, and C.J. Kim. Mechanical and electrical properties of carbon nanotubes in copper-matrix nanocomposites. Solid State Phenomena, 120, 285–288, 2007.

40.  M. Zhang, X. Huo, P.C.H. Chan, Q. Liang, and Z.K. Tang. Radio-frequency characterization for the single-walled carbon nanotubes. Appl. Phys. Lett., 88(16), 163109-1–163109-3, 2006.

41.  M.H. Sun, Z.Y. Xiao, Y. Chai, Y. Li, and P.C.H. Chan. Inductance properties of in-situ grown horizontally aligned carbon nanotubes. IEEE Trans. Electron Device, 58(1), 229–235, 2011.

42.  P.M. Ajayan and B.I. Yakobson. Materials science—Oxygen breaks into carbon world. Nature, 441, 818–819, 2006.

43.   Y. Chai, M. Zhang, J.F. Gong, and P.C.H. Chan. Reliability evaluation of carbon nanotube interconnect in a silicon CMOS environment. In Proceedings of 8th IEEE International Conference on Electronic Materials and Packaging, Hong Kong, December 2006, pp. 343–347.

44.  Y. Chai, P.C.H. Chan, Y.Y. Fu, Y.C. Chuang, and C.Y. Liu. Copper/carbon nanotube composite interconnect for enhanced electromigration resistance. In Proceedings of 58th IEEE Electronic and Component Technology Conference, 2008, pp. 412–420.

45.  Y. Chai, P.C.H. Chan, Y.Y. Fu, Y.C. Chuang, and C.Y. Liu. Electromigration studies of Cu/CNT composite using Blech structure. IEEE Electron Device Lett., 29(9), 1001–1003, 2008.

46.  Y. Chai, M. H. Sun, Z. Y. Xiao, Y. Li, M. Zhang and Philip C. H. Chan. Towards future VLSI interconnects using aligned carbon nanotubes. IEEE International Conference on Very Large Scale Integration, 2011, pp. 248–253.

..................Content has been hidden....................

You can't read the all page of ebook, please click here login for view all page.
Reset